Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Geostatistical-inspired fast layout optimisation of a nano-CMOS thermal sensor

Continuous and aggressive scaling of semiconductor technology has led to persistent and dominant nanoscale effects on analogue/mixed-signal (AMS) circuits. Design space exploration and optimisation costs using conventional techniques have increased to infeasible levels. Hence, growing research for alternative design and metamodelling techniques with a much reduced design space exploration and optimisation cost and high level of accuracy, continues to be very active. This study presents a geostatistical inspired metamodelling and optimisation technique for fast and accurate design optimisation of nano-complementary metal oxide semiconductor (CMOS) circuits. The design methodology proposed integrates a simple Kriging technique with efficient and accurate prediction characteristics as the metamodel generation technique. A gravitational search algorithm (GSA) is applied on the generated metamodel (substituted for the circuit netlist) to solve the design optimisation problem. The proposed methodology is applicable to AMS circuits and systems. Its effectiveness is illustrated with the optimisation of a 45 nm CMOS thermal sensor. With six design parameters, the design optimisation time for the thermal sensor is decreased by 90% and produces an improvement of 36.8% in power consumption. To the best of the authors' knowledge this is the first work to use GSA for analogue design optimisation.

References

    1. 1)
      • 25. Bohling, G.: ‘Kriging’. Technical Report, Kansas Geological Survey, 2005.
    2. 2)
      • 23. Chen, P., Chen, C.-C., Tsai, C.-C., Lu, W.-F.: ‘A time-to-digital-converter-based CMOS smart temperature sensor’, IEEE J. Solid-State Circuits, 2005, 40, (8), pp. 16421648 (doi: 10.1109/JSSC.2005.852041).
    3. 3)
      • 19. Datta, B., Burleson, W.: ‘Low-power and robust on-chip thermal sensing using differential ring oscillators’. Midwest Symp. Circuits and Systems, August 2007, pp. 2932.
    4. 4)
      • 8. Lim, D., Ong, Y.-S., Jin, Y., Sendhoff, B.: ‘A study on metamodeling techniques, ensembles, and multi-surrogates in evolutionary computation’. Proc. Conf. Genetic and Evolutionary Computation, New York, USA, 2007, pp. 12881295.
    5. 5)
      • 13. Okobiah, O., Mohanty, S.P., Kougianos, E., Garitselov, O.: ‘Kriging-assisted ultra-fast simulated-annealing optimization of a clamped bitline sense amplifier’. Int. Conf. VLSI Design, January 2012, pp. 310315.
    6. 6)
      • 16. Garitselov, O., Mohanty, S.P., Kougianos, E.: ‘Accurate polynomial metamodeling-based ultra-fast bee colony optimization of a nano-CMOS phase-locked loop’, J. Low Power Electron., 2012, 8, (3), pp. 317328 (doi: 10.1166/jolpe.2012.1195).
    7. 7)
      • 18. Kashfi, F., Hatami, S., Pedram, M.: ‘Multi-objective optimization techniques for VLSI circuits’. Proc. Int. Symp. Quality of Electronic Design, March 2011, pp. 18.
    8. 8)
      • 14. Okobiah, O., Mohanty, S.P., Kougianos, E.: ‘Ordinary Kriging metamodel-assisted ant colony algorithm for fast analog design optimization’. Int. Symp. Quality Electronic Design, March 2012, pp. 458463.
    9. 9)
      • 7. Aggarwal, V.: ‘Analog circuit optimization using evolutionary algorithms and convex optimization’. Master's thesis, Massachusetts Institute of Technology, 2007.
    10. 10)
      • 15. Li, Y., Li, J.: ‘Swarm intelligence optimization algorithm based on orthogonal optimization’. Int. Conf. Computer Modeling and Simulation, January 2010, pp. 1216.
    11. 11)
      • 26. Cressie, N.A.C.: ‘Statistics for spatial data’ (Wiley, 1993).
    12. 12)
      • 17. Cashero, Z., Chen, A., Hoppal, R., Chen, T.: ‘Fast evaluation of analog circuits using linear programming’. IEEE Symp. Computer Society, July 2010, pp. 253258.
    13. 13)
      • 28. mGstat: A Geostatistical Matlab Toolbox. [Online]. Available at mgstat.sourcefourge.net.
    14. 14)
      • 11. You, H., Yang, M., Wang, D., Jia, X.: ‘Kriging model combined with Latin hypercube sampling for surrogate modeling of analog integrated circuit performance’. Proc. Int. Symp. Quality of Electronic Design, 2009, pp. 554558.
    15. 15)
      • 5. Rashedi, E., Nezamabadi-pour, H., Saryazdi, S.: ‘GSA: a gravitational search algorithm’, Inf. Sci., 2009, 179, (13), pp. 22322248 (doi: 10.1016/j.ins.2009.03.004).
    16. 16)
      • 6. Binder, T., Heitzinger, C., Selberherr, S.: ‘A study on global and local optimization techniques for TCAD analysis tasks’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2004, 23, (6), pp. 814822 (doi: 10.1109/TCAD.2004.828130).
    17. 17)
      • 12. Yu, G., Li, P.: ‘Yield-aware analog integrated circuit optimization using geostatistics motivated performance modeling’. IEEE/ACM Int. Conf. Computer-Aided Design, November 2007, pp. 464469.
    18. 18)
      • 29. Garitselov, O., Mohanty, S.P., Kougianos, E.: ‘Fast optimization of nano-CMOS mixed-signal circuits through accurate metamodeling’. Int. Symp. Quality Electronic Design, March 2011, pp. 405410.
    19. 19)
      • 24. Owen, A.B.: ‘A central limit theorem for Latin hypercube sampling’, J. R. Stat. Soc. B, 1992, 54, pp. 541551.
    20. 20)
      • 3. Dellino, G., Kleijnen, J., Meloni, C.: ‘Robust simulation-optimization using metamodels’. Proc. Winter Simulation Conf., December 2009, pp. 540550.
    21. 21)
      • 10. Khosravi, A., Nahavandi, S., Creighton, D.: ‘Developing optimal neural network metamodels based on prediction intervals’. Int. Joint Conf. Neural Networks, June 2009, pp. 15831589.
    22. 22)
      • 21. Zhang, Y., Srivastava, A.: ‘Accurate temperature estimation using noisy thermal sensors’. ACM/IEEE Design Automation Conf., July 2009, pp. 472477.
    23. 23)
      • 1. Biles, W.E., Kleijnen, J.P.C., van Beers, W.C.M., van Nieuwenhuyse, I.: ‘Kriging metamodeling in constrained simulation optimization: an explorative study’. Proc. Winter Simulation Conf., December 2007, pp. 355362.
    24. 24)
      • 2. Ankenman, B., Nelson, B., Staum, J.: ‘Stochastic Kriging for simulation metamodeling’. Proc. Winter Simulation Conf., December 2008, pp. 362370.
    25. 25)
      • 22. Meng, T., Xu, C.: ‘A cross-coupled-structure-based temperature sensor with reduced process variation sensitivity’, J. Semicond., 2009, 30, (4), pp. 16421648 (doi: 10.1088/1674-4926/30/4/045002).
    26. 26)
      • 27. van Beers, W.: ‘Kriging metamodeling in discrete-event simulation: an overview’. Proc. Winter Simulation Conf., 2005, pp. 202208.
    27. 27)
      • 9. Wang, G.G., Shan, S.: ‘Review of metamodeling techniques in support of engineering design optimization’, J. Mech. Des., 2007, 129, (4), pp. 370380 (doi: 10.1115/1.2429697).
    28. 28)
      • 30. Okobiah, O., Mohanty, S.P., Kougianos, E.: ‘Geostatistical-inspired metamodeling and optimization of nano-CMOS circuits’. Proc. IEEE Computer Soc. Annual Symp. Very Large Scale Integration (VLSI), August 2012, pp. 326331.
    29. 29)
      • 20. Park, S., Min, C., Cho, S.-H.: ‘A 95 nW ring oscillator-based temperature sensor for RFID tags in 0.13 μm CMOS’. IEEE Int. Symp. Circuits and Systems, May 2009, pp. 11531156.
    30. 30)
      • 4. Garitselov, O., Mohanty, S., Kougianos, E.: ‘A comparative study of metamodels for fast and accurate simulation of nano-CMOS circuits’, IEEE Trans. Semicond. Manuf., 2012, 25, (1), pp. 2636 (doi: 10.1109/TSM.2011.2173957).
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2012.0358
Loading

Related content

content/journals/10.1049/iet-cds.2012.0358
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address