Comparison of nano-scale complementary metal-oxide semiconductor and 3T–4T double gate fin-shaped field-effect transistors for robust and energy-efficient subthreshold logic

Access Full Text

Comparison of nano-scale complementary metal-oxide semiconductor and 3T–4T double gate fin-shaped field-effect transistors for robust and energy-efficient subthreshold logic

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Circuits, Devices & Systems — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Subthreshold logic has gained wide research interest due to their suitability for ultra low-power applications, such as radio frequency identification, wireless micro sensors and so on, which demand low-energy consumption. Important concerns for subthreshold logic at present are increased sensitivity to process, voltage and temperature (PVT) variations. Analysis is done addressing the nano-scale complementary metal-oxide semiconductor (CMOS) device and circuit subthreshold behaviour to PVT variations, showing their poor performance and robustness in terms of power, delay, energy consumption and so on. Next part of the study addresses how double gate (DG) fin-shaped field-effect transistors (FinFETs) are better candidates for subthreshold logic in comparison to equivalent bulk CMOS devices in terms of robustness. It is observed that DGFinFETs have almost 81% better power performance characteristics than equivalent bulk CMOS option for subthreshold operation. Among the various DGFinFET device options, 3TDG (tied gate DG) device option has better (approximately 77%) energy delay product (EDP) characteristics than 4TDG (independent gate DG) device option for subthreshold operation. Comparative studies show the suitability of symmetric, asymmetric oxide features in combination with tied and independent gate options for subthreshold operation, showing better EDP characteristics of 3TSDG device option and better robustness of 4TSDG device option.

Inspec keywords: equivalent circuits; threshold logic; CMOS logic circuits; nanoelectronics; MOSFET

Other keywords: double gate fin-shaped field-effect transistors; nanoscale complementary metal-oxide semiconductor; subthreshold logic; low-energy consumption; equivalent bulk CMOS devices; energy delay product

Subjects: Nanometre-scale semiconductor fabrication technology; Logic circuits; CMOS integrated circuits; Insulated gate field effect transistors

References

    1. 1)
      • Wu, X., Wang, F., Xie, Y.: `Analysis of subthreshold FinFET circuits for ultra-low power design', IEEE Int. SOC Conf., 2006, p. 91–92.
    2. 2)
      • A. Wang , A.P. Chandrakasan . A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits , 1 , 310 - 319
    3. 3)
      • Cao, Y., Sato, T., Sylvester, D., Orshansky, M., Hu, C.: `New paradigm of predictive MOSFET and interconnect modeling for early circuit design', Custom Integrated Circuits Conf. (CICC), 2000, p. 201–204.
    4. 4)
      • S. Hanson , B. Zhai , M. Seok . Exploring variability and performance in a sub-200-mV processor. IEEE J. Solid-State Circuits , 4 , 881 - 891
    5. 5)
      • Spedo, S., Fiegna, C.: `Comparison of symmetric and asymmetric double gate MOSFETs-tunneling currents and hot electrons', IEEE Semiconductor Device Research Symp., 2001, Washington, DC, USA, p. 601–604.
    6. 6)
      • Y. Taur , T.H. Ning . (1998) Fundamentals of modern VLSI devices.
    7. 7)
      • Tang, S.H., Xuan, P., Bokor, J., Hu, C.: `Comparison of short-channel effect and off state leakage in symmetric vs. asymmetric double gate MOSFETs', IEEE Int. SOI Conf., October 2000, p. 120–121.
    8. 8)
      • Zhai, B., Nazhandali, L., Olson, J.: `A 2.60pj/inst subthreshold sensor processor for optimal energy efficiency', Symp. on VLSI Circuits Digest of Technical Papers, June 2006, p. 154–155.
    9. 9)
      • http://www.eas.asu.edu/~ptm/.
    10. 10)
      • R.T. Cakici , K. Roy . Analysis of options in double-gate MOS technology: a circuit perspective. IEEE Trans. Electron Devices , 1 , 3361 - 3368
    11. 11)
      • N. Verma , J. Kwong , A.P. Chandrakasan . Nanometer MOSFET variation in minimum energy subthreshold circuits. IEEE Trans. Electron Devices , 1 , 163 - 174
    12. 12)
      • J.G. Fossum , Z. Ren , K. Kim , M. Lundstrom . Extraordinarily high drive currents in asymmetrical double-gate MOSFETs. Superlatt. Microstruct. , 525 - 530
    13. 13)
    14. 14)
    15. 15)
      • Fried, D., Nowak, E., Kedzierski, J., Dusterr, J., Kornegay, K.: `A Fin-type independent-double-gate NFET', Device Research Conf., 2003, p. 45–46.
    16. 16)
      • Raychowdhury, A., Paul, B.C., Bhunia, S., Roy, K.: `Ultra low power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies', Proc. Int. Conf. on Design, Automation and Test in Europe (DATE), 6–10 March 2006, Munich, Germany, p. 856–861.
    17. 17)
      • T.H. Kim , J. Keane , H. Eom , C.H. Kim . Utilizing reverse short-channel effect for optimal subthreshold circuit design. IEEE Trans. VLSI Syst. , 7 , 821 - 829
    18. 18)
      • Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: `Analysis and mitigation of variability in subthreshold design', Int. Symp. on Low Power Electronics and Design, August 2005, San Diego, California, USA, p. 20–25.
    19. 19)
    20. 20)
    21. 21)
      • Berkeley Predictive Technology Model, http://www-device.eecs.berkeley.edu/~ptm/.
    22. 22)
      • Mukhopadhyay, S., Mahmoodi, H., Roy, K.: `Design of high performance sense amplifier using independent gate control in sub-50 nm double-gate MOSFET', Proc. Sixth Int. Symp. on Quality Electronic Design (ISQED), March 2005, p. 490–495.
    23. 23)
      • B.C. Paul , A. Raychowdhury , K. Roy . Device optimization for digital sub-threshold logic operation. IEEE Trans. Electron Devices , 2 , 237 - 247
    24. 24)
      • Ramirez, R.J.: `Variability-aware design of subthreshold devices’', 2007, MS, University of Waterloo, Electrical and Computer Engineering Department, Waterloo, Ontario, Canada.
    25. 25)
      • Mahmoodi, H., Mukhopadhyay, S., Roy, K.: `High performance and low power domino logic using independent gate control in double-gate SOI MOSFETs', IEEE Int. SOI Conf., October 2004, p. 67–68.
    26. 26)
      • Kim, K., Fossum, J.G.: `Optimal double-gate MOSFETs: symmetrical or asymmetrical gates?', Proc. IEEE Int. SOI Conf., October 1999, p. 98–99.
    27. 27)
      • W. Zhao , Y. Cao . Predictive technology model for nano-CMOS design exploration. ACM J. Emerg. Technol. Comput. Syst. , 1 , 1 - 17
    28. 28)
      • Cakici, T., Mahmoodi, H., Mukhopadhyay, S., Roy, K.: `Independent gate skewed logic in double-gate SOI technology', Proc. IEEE Int. SOI Conf., October 2005, p. 83–84.
    29. 29)
      • Soeleman, H., Roy, K.: `Digital CMOS logic operation in the sub-threshold region', Tenth Great Lakes Symp. on VLSI, March 2000, Chicago, Illinois, USA, p. 107–112.
    30. 30)
      • J.J. Kim , K. Roy . Double gate-MOSFET sub-threshold circuit for ultralow power applications. IEEE Trans. Electron Devices , 9 , 1468 - 1474
    31. 31)
      • B.C. Paul , K. Roy . Oxide thickness optimization for digital sub-threshold operation. IEEE Trans. Electron Devices , 2 , 685 - 688
    32. 32)
      • Ramesh, V., Dasgupta, S., Agarwal, R.P.: `SDG vs ADG with tied and independent gate options in the subthreshold logic for ultra low power applications', Proc. 2nd IEEE Int. Workshop. on Electronic Devices and Semiconductor Technology (IEDST), 1–2 June 2009, Bombay, India, p. 19–20.
    33. 33)
    34. 34)
      • Chen, Q., Meindl, J.D.: `A comparative study of threshold variations in symmetric and asymmetric undoped double-gate MOSFETs', Proc. IEEE Int. SOI Conf., October 2002, p. 30–31.
    35. 35)
      • Kwong, J., Chandrakasan, A.P.: `Variation-driven device sizing for minimum energy sub-threshold circuits', Int. Symp. on Low Power Electronics and Design, October 2006, Tegernsee, Germany, p. 8–13.
    36. 36)
      • Cakici, T., Bansal, A., Roy, K.: `A low power four transistor Schmitt trigger for asymmetric double gate fully depleted SOI devices', IEEE Int. SOI Conf., October 2003, p. 21–22.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2010.0160
Loading

Related content

content/journals/10.1049/iet-cds.2010.0160
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading